Exercices sur la conception de processeurs

Slides:



Advertisements
Présentations similaires
Verrouillage, protections et verrouillages de sécurité des machines
Advertisements

Chapitre IX Radar à « compression d’impulsion »
Les Systèmes Automatisés. Simples ou complexes, les systèmes automatisés sont partout dans notre environnement quotidien. Connaître leur fonctionnement.
TRANSMISSION DES DONNEES INFORMATIQUES
Formation continue AESS: Association des entreprises suisse de sécurité. AESS: Association des entreprises suisse de sécurité. SSA: Suisse security.
Distance Terre-Lune Taille de la Lune
Dégagement de personnes d’une cabine d’ascenseur
Par Clément en vacances sur la Côte d’Azur Le 19 Avril 2012
Décrire un circuit numérique avec VHDL
GED Masters: Gestion Électronique de Documents
Enclencher en appuyant sur le bouton Déclencher en appuyant sur le bouton pendant 3 secs.
Cours #6 Conception d’unités de contrôle
Cours #12: Exercices de révision
Cours #8 Flot de conception d’un circuit numérique
VOXPOP Questions de concepts en vrac…. Voxpop Deux sphères uniformément chargées sont fixées solidement à des rondelles (tout en étant électriquement.
TD Table traçante - Porte stylo Simulation d’injection plastique pour valider la conception MoldFlow Plastics Advisers version 8.0.
Cours #4 Éléments à mémoire et circuits séquentiels synchrones de base
Cours #7 Vérification d’un modèle VHDL
(notes, section 1.3) Implémentation des systèmes numériques Quatre considérations d’implémentation Taille du système Précision des calculs Aujourd’hui.
Normalisation graphique dans le domaine de la sécurité incendie et intrusion.
Modélisation VHDL d’un chemin des données
CORDIC Sujets de ce thème
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Représentation et opérations.
Banc d’essai pour un circuit combinatoire
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Synthèse d’un circuit.
Modélisation VHDL du chemin des données d’un processeur à usage général Sujets de ce thème
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois La technique du pipeline.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse de machines.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Implémentation d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Augmenter le débit d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Description d’un circuit.
Synthèse et implémentation de circuits arithmétiques sur FPGA
Processeurs et chemins des données
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Entrées et sorties par.
Le temps de propagation des signaux dans un circuit
Fonction de travail et sécurité
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Vue d’ensemble des systèmes.
Tests de boîte noire.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Vérification de circuits.
Chemin critique et fréquence maximale d’horloge
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Le problème du déphasage.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Encodage du jeu d’instructions.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Arithmétique entière.
Concepts intermédiaires de VHDL
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Performance de circuits.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Modélisation VHDL de.
Synthèse et implémentation d’un circuit combinatoire
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Simulation d’un modèle.
Rappel - analyse et synthèse de fonctions combinatoires
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Banc d’essai pour un.
Application à la Radio Logicielle Restreinte
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Objets des catégories.
Alarme et actionneur (programmés) de cafetière électrique géré par microcontrôleur Présentation PPD n°1.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse détaillée du.
Chapitre 2: Solutions à certains exercices
Plan 1002 Relations entre les signaux Alain Fourmanois – CST /04/2015.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Chemin des données d’un.
Les codeurs rotatifs industriels
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Performance de circuits.
Partie : Système de Tir. 1- Comment tirer la balle ? 1- Comment tirer la balle ? 2- Comment augmenter la précision du tir ? 2- Comment augmenter la précision.
Implémentation de la division sur FPGA
VHDL pour circuits séquentiels
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Flot de conception de.
Exercice 1.
Les bascules et registres
Multiplexeurs A.Lebrun.
Confidential Formation sur le Newsteo Tracker TRE35.
Aide à la validation de la commande de ma clé RGS **
La solution complète pour le contrôle hydraulique Mesure – Affiche – Alarme – Transmet.
Comment Automatisé un système par un API?
Transcription de la présentation:

Exercices sur la conception de processeurs

(conception de processeur) Cadenas numérique v.1 Donnez la description en VHDL d’un cadenas numérique à cinq chiffres dont la combinaison est 9-5-0-1-3. Le cadenas est doté d’un bouton entrer qui fait office d’horloge, et de quatre bits permettant de spécifier le chiffre. Une sortie indique si le cadenas doit être verrouillé ou non. Donner un diagramme d’états et un modèle VHDL pour ce circuit. Vérifiez son fonctionnement par simulation.

(conception de processeur) Cadenas numérique v.2 Donnez la description en VHDL d’un cadenas numérique à cinq chiffres entre 0 et 9. Le cadenas est doté d’un bouton entrer qui fait office d’horloge, et de quatre bits permettant de spécifier le chiffre. Une sortie indique si le cadenas doit être verrouillé ou non. Votre code doit être suffisamment général pour qu’on puisse facilement changer la combinaison en modifiant une seule ligne du code. Donner un diagramme d’états et un modèle VHDL pour ce circuit. Vérifiez son fonctionnement par simulation.

(conception de processeur) Feux de circulation Donnez la description en VHDL d’un circuit numérique pour contrôler les feux de circulation d’une intersection entre une route principale et une route secondaire. Le feu doit demeurer vert sur la route principale, sauf quand un senseur sous la chaussée de la route secondaire détecte la présence d’une voiture. Le feu doit alors passer au vert pour la route secondaire pendant une période de 30 secondes, après quoi il revient au vert pour la route principale. Supposez que vous avez accès à une horloge de 1 Hz. Donner un diagramme d’états et un modèle VHDL pour ce circuit. Vérifiez son fonctionnement par simulation.

Ascenseur à deux étages (conception de processeur) Ascenseur à deux étages Faites la conception d’un processeur pour un ascenseur à deux étages. Les entrées du système sont l’étage courant de l’ascenseur, les boutons de contrôle à l’intérieur de l’ascenseur et les boutons d’appels aux différents étages. Les sorties du système sont les commandes au moteur de l’ascenseur et les indicateurs lumineux dans l’ascenseur et aux étages. Ce processeur ne devrait pas comporter de chemin de données. Donnez un diagramme de vue d’ensemble du système, avec la liste des ports d’entrée et de sortie. Donnez un diagramme d’états du système.

Machine distributrice (conception de processeur) Machine distributrice Faites la conception d’un processeur pour une machine distributrice. Supposez que vous avez un module qui accepte des pièces et qui donne, sur 8 bits, la valeur en sous de la dernière pièce reçue. Une constante doit permettre de fixer le prix de l’item à distribuer. L’item doit être distribué quand le total des pièces reçues est égal ou supérieur au prix de l’item. Supposez une version simple où la monnaie n’est pas rendue. Donnez un diagramme de vue d’ensemble du système, avec la liste des ports d’entrée et de sortie. Donnez un diagramme d’états du système. Donnez un diagramme du chemin des données.

(conception de processeur) Chronomètre Faites la conception d’un processeur pour un chronomètre d’une résolution de 0.1 s avec un bouton pour démarrer et arrêter et un bouton permettant de saisir un temps intermédiaire. Supposez que vous avez accès à une horloge de 10 Hz.

(conception de processeur) Température moyenne Faites la conception d’un processeur qui reçoit la lecture de température d’un moteur à chaque coup d’horloge. Le processeur doit calculer la moyenne des températures des 5 derniers échantillons et activer un ventilateur si la température moyenne est supérieure à un seuil S2 spécifié par l’utilisateur. Le ventilateur doit être désactivé quand la température baisse sous un niveau S1 aussi spécifié par l’utilisateur. Si la température dépasse un troisième seuil S3, une alarme doit être activée. On suppose que S1 < S2 < S3.

(conception de processeur) Télémètre laser Faites la conception d’un processeur pour un télémètre laser. Le télémètre a un bouton pour déclencher la prise de mesure. Quand le bouton est pressé, une impulsion lumineuse est générée et un chronomètre est activé. L’impulsion lumineuse se propage dans l’air, frappe la cible et revient vers un détecteur. Quand l’écho de l’impulsion lumineuse est perçu par le détecteur, le chronomètre est arrêté et la distance peut être calculée en tenant compte de la vitesse de propagation de la lumière dans l’air. Soit T le temps mesuré par le chronomètre, en secondes, alors la distance D est donnée par D = T × c / 2, où c = 3 × 108 m/s. Le processeur a deux entrées : le bouton et un signal provenant du détecteur indiquant qu’une impulsion lumineuse a été reçue. Il a deux sorties : un signal vers le laser pour déclencher une impulsion lumineuse et un autre signal indiquant la distance mesurée. Donnez un diagramme représentant le chemin des données du processeur. Donnez le diagramme d’états de l’unité de contrôle du processeur. Donnez la précision du télémètre laser en fonction de la fréquence d’horloge du circuit et sa portée maximale en fonction de la taille du chronomètre.