Plan 1-Introduction. 2-Standard VHDL-AMS. Modélisations:

Slides:



Advertisements
Présentations similaires
« Systèmes électroniques »
Advertisements

Principe de la conversion
Test Intégré pour Convertisseurs Analogique/Numérique
Signal numérique sur 10 bits Signal analogique sur 4 V
Louis ZANGARA PARIS Le 26 novembre 2009 Les nouveaux défis des métiers de la conception ou les métiers de limpossible CONSEIL DORIENTATION DU GIP CNFM.
A abstraction b assembleur c nombre binaire d bit e cache f UC g puce h compilateur i famille dordinateurs j contrôle k chemin de données l défaut m dé
Flow de conception (4h)-demo
Cours Systèmes logiques
Plan Problématique Modélisation des fautes de délai
Ing Mohamed MASMOUDI Cours VHDL Ing Mohamed MASMOUDI
Pilotage d ’un actionneur synchrone
Décrire un circuit numérique avec VHDL
Plan Évolution Système On Chip Difficultés de la testabilité des SOCs
Des RRA à la diagnosticabilité
44 Contrôle du déroulement du programme. 4-2 Objectifs A la fin de ce cours, vous serez capables de : Utiliser les constructions de prise de décision.
Cours VHDL Chap 3: sémantique VHDL
Cours #6 Conception d’unités de contrôle
Le VHDL De nos jours, les circuits numériques de haute performance sont habituellement créés à partir de descriptions en langages de haut niveau. Nous.
Cours #8 Flot de conception d’un circuit numérique
Rennes, le 18 septembre 2006 Support du paradigme maître-travailleur dans les applications à base de composants Tâche 2.2 Hinde Bouziane Réunion LEGO.
Plan de l’exposé Introduction Revue de littérature Méthodologie
Journée ConecsSdF 27 janvier 2011 ATELIER BENCHMARK Mise en œuvre du cas-test sur le simulateur Samovar Adrien GUENARD, INRIA Nancy, France Date.
Cours #4 Éléments à mémoire et circuits séquentiels synchrones de base
SCIENCES DE L ’INGENIEUR
C6E2 Positionnement de C6E2 par rapport à SimPA2 et Modelica
Introduction Objectifs du cours Évaluation Références
Programmation concurrente
© Petko ValtchevUniversité de Montréal Janvier IFT 2251 Génie Logiciel Notions de Base Hiver 2002 Petko Valtchev.
Ift 2251 Introduction au Génie Logiciel
ELE6306 : Test de systèmes électroniques Projet de cours Chaîne de scan unique: Reconfiguration = Optimisation Louis-Martin Côté Professeur : A. Khouas.
Plan Introduction DFT d’un additionneur asynchrone
Plan Problématique Fautes de délai Techniques de test « at-speed »
Cours #7 Vérification d’un modèle VHDL
COMPOSANTS PROGRAMMABLES
NORMALISATION DES LANGAGES DE PROGRAMMATION des Automates Programmables Industriels CEI Jean-Jacques DUMÉRY -1-
Les automates programmables
NORMALISATION DES LANGAGES DE PROGRAMMATION des Automates Programmables Industriels CEI
Modélisation VHDL d’un chemin des données
Décodeurs et encodeurs : sujets de ce thème
Le VHDL : SOMMAIRE I Introduction II Éléments généraux du langage
Banc d’essai pour un circuit combinatoire
Modélisation VHDL du chemin des données d’un processeur à usage général Sujets de ce thème
Sysml et le domaine de l’architecture et construction
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse de machines.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Description d’un circuit.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Entrées et sorties par.
Supports de formation au SQ Unifié
INF8505: processeurs embarqués configurables Département de génie informatique et génie logiciel Langages de description architecturale.
Partie 2 : Acquisition de données avec une carte Daqmx
MICROLOR Le savoir partagé
Méthodologie de conception Outils de conception
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Vérification de circuits.
Modélisation VHDL-AMS haut niveau de l’activité en courant des mémoires en vue de l'optimisation de la compatibilité électromagnétique Richard PERDRIAU*/**
Les systèmes mono-puce
Mise au point de systèmes mixtes et évaluation de puissance : Un exemple d’application Anne-Marie TRULLEMANS- ANCKAERT FTFC’03 UCL-DICE, Place du Levant.
Concepts intermédiaires de VHDL
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Modélisation VHDL de.
Synthèse et implémentation d’un circuit combinatoire
Journées d'études Faible Tension Faible Consommation 14, 15, 16 mai Gwenolé CORRE, Nathalie JULIEN, Eric SENN, Eric MARTIN LESTER, Université de.
Implantation de processeurs dans les FPGA et ASIC
Introduction au VHDL - R.WEBER - Polytech'Orleans
ELE6306 : Test de systèmes électroniques Projet de cours Core Test Language (CTL) Maurice Kouam; Guillaume Wild Professeur : A. Khouas Département de génie.
INTRODUCTION.
Implémentation de la division sur FPGA
VHDL pour circuits séquentiels
ELE6306 : Test de systèmes électroniques Adaptation d’une interface de communication pour implants en vue du test Laurent Aubray, Dominique Pâquet-Ferron.
ELE6306 : Test de systèmes électroniques Test intégré et Modèle de faute de délai Etudiante : S. BENCHIKH Professeur : A. Khouas Département de génie électrique.
Le VHDL Programmation des CPLD et FPGA avec Quartus II ENSET d'Oran / IUFM Aix Marseille - mai
LE PROJET EN TERMINALE.
Description d’un circuit combinatoire en VHDL
Transcription de la présentation:

Abdelmajid Iguermia ; Boujemaa Nbahedda ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques. Abdelmajid Iguermia ; Boujemaa Nbahedda Professeur : A. Khouas Département de génie électrique École Polytechnique de Montréal

Plan 1-Introduction. 2-Standard VHDL-AMS. Modélisations: De Circuits logiques et analogiques. De systèmes complets. 2-Standard VHDL-AMS. Environnement de travail.

Plan (suite) 3-Organisation d’un Modele VHDL-AMS. 4-Champs d’application. 5-Conclusion. 6- Questions.

1- INTRODUCTION VHDL-AMS est un language qui a été développé comme une extension du langage VHDL pour permettre la modélisation et la simulation de circuits et de systèmes analogiques et mixtes (logiques-analogiques).

Introduction (suite) VHDL VHDL IEEE 1076-1987 VHDL IEEE 1076-1993 Numérique pur Renormalisation + retard VHDL IEEE 1076-1993 Détails de consistance, quelques mots clefs, quelques concepts Autres efforts : non intégrés Sub Par 1076.1 Sub Par 1076.1 Sub Par 1076.1 Renormalisation + retard Extension à l ’analogique VHDL-AMS IEEE 1076-1999 Nouvelle philosophie Compatible VHDL ’93

VHDL-AMS constitue un sur-ensemble de VHDL Abstraction vs Simulation Abstraction Expression Simulateur temps/signaux Physique Equa.Diff. Silvaco Cont./cont. Électrique Eq.diff/mod. compo. Spice / Eldo Cont./cont. Switch Equations d ’état ? Cont./quantifiés. Gate Eq. booléenne Orcad Discret/logic (2-9) Structurel Netlist Dépend des blocs idem VHDL-AMS VHDL Signal flow Process concurrents HDL Discret/symb. Algo Prog.impératif C/pascal/ADA... idem Système Processeurs communiquants Pas d ’outil industriel Symb./symb.

Introduction (suite) • Modélisation de circuits logiques et analogiques, abstraction possible grâce à des modèles comportementaux de complexités variables (des réseaux de Kirchhoff aux modèles fonctionnels à flot de données). • Modélisation de systèmes complets : exp. un capteur avec traitement numérique avec prise en compte de l’environnement (p. ex. les effets dûs à la température).

Introduction (suite) • VHDL-AMS offre est un support de base pour la Modélisation de systèmes non électriques (p. ex. capteurs, actionneurs). • L’utilité et l’importance de VHDL-AMS nécessite avoir un standard.!??

2- Besoin d’un standard • Le Standard VHDL-AMS est de fournir un outil de description et de simulation des systèmes analogiques et mixtes. • Environnement de travail avec les différentes phases d’édition, d’analyse, d’élaboration et d’exécution liées au language.

Besoin d ’un standard(suite)

3-Organisation d’un modèle VHDL-AMS Unités de conception VHDL-AMS (en gris).

Organisation d’un modèle VHDL-AMS(suite) -La déclaration d’entité (entity déclaration). - corps d’architecture (architecture body). -Entité de conception (design entity). -Bibliothèque de conception (design library). -Description structurelle et configuration.

Organisation d’un modèle VHDL-AMS(suite) 1-La déclaration d’entité (entity déclaration).

Organisation d’un modèle VHDL-AMS(suite) library disciplines; use disciplines.electromagnetic_system.all; terminal T1, T2, T3, T4 : electrical; quantity V1 across I1 through T1 to T2; quantity V2 across I2 through T3; -- le deuxième terminal est la référence quantity V3 across I3 through T3; -- V3 est un synonyme de V2 quantity V4 across T3 to T4; -- ne crée pas de branche quantity I4 through T4 ; les déclarations précédentes correspondent au circuit suivant :

Organisation d’un modèle VHDL-AMS(suite) 2-Corps d’architecture (architecture body): • définit le comportement et/ou la structure du système modélisé.

Organisation d’un modèle VHDL-AMS(suite) 3-Entité de conception (design entity): • Représente une portion d’un système matériel possédant une interface entrée-sortie et une fonction bien définies. • Représente un système matériel à plusieurs niveaux de complexité: une carte, un circuit intégré, une cellule complexe (p.ex. ALU, mémoire, convertisseur A/N, filtre, amplificateur opérationnel, etc.), une porte logique, ou un transistor.

Organisation d’un modèle VHDL-AMS(suite) 4-Bibliothèque de conception (design library) : • Le résultat de la compilation est stocké dans une bibliothèque de conception

Organisation d’un modèle VHDL-AMS(suite) 5-Description structurelle et configuration. use : utilisation des bibliothèques entity : spécification d'entité (vue externe du modèle) is generic : paramètres génériques port : ports de connexion signal (in/out,inout) : Signaux à événements discrets QUANTITY (IN/OUT) : quantités analogiques à temps continu utilisées pour les connexions "signal-flow" TERMINAL : équipotentielle utilisés pour les connexions "Kirchoff" end entity architecture : vue interne du modèle signal : déclaration de signaux internes QUANTITY : déclaration de quantités internes TERMINAL : déclaration de terminaux internes begin corps de l'architecture Instanciation de composants Instruction concurrente : Process signaux <= Affectation de signal numériques Assert test et rapport BREAK synchronisation des simulateurs INSTRUCTIONS SIMULTANEES == quantités analogiques end architecture

4-Champs d’application • VHDL-AMS permet de remplir un nombre de tâches beaucoup plus important en un temps plus court avec une lisibilité.

Champs d’application(suite) Convertisseur Analogique-Numérique. entity limiter is end entity; architecture beh of limiter is constant vmax : real := 1.0; constant vmin : real := -1.0; quantity vin1, vin2, vout1, vout2 : real; begin if vin1 > vmax use vout1 == vmax; elsif vin1 < vmin use vout1 == vmin; else vout1 == vin1; end use; vin1 == 3.0*sin(2.0*math_pi*1.0e7*now); if vin2'above(vmax) use vout2 == vmax; elsif not(vin2'above(vmin)) use vout2 == vmin; else vout2 == vin2; vin2 == 3.0*cos(2.0*math_pi*1.0e7*now); end architecture beh;

Champs d’application(suite) Convertisseur Numérique – Analogique entity D2A is end entity D2A; architecture beh of D2A is constant Vol : real := 0.5; constant Voh : real := 4.5; quantity Vramp, Vslew : real; signal Vin : real := 0.0 ; --Initialisation par défaut à Real_Low signal Din : bit :='1'; begin process wait for 100ns; Din <= not Din; end process; Vin <= Voh when Din = '1' else Vol; Vramp == Vin'ramp(20.0e-9,10.0e-9); Vslew == Vin'slew(0.4e9,-1.0e9); break on Vin; end architecture beh ;

Champs d’application (suite) Transformée de Laplace et Z. library ieee; use ieee.math_real.all; entity TB is end entity; architecture test of TB is constant Tech :real :=1.0e-4; constant Delay : real := 3.0e-5; constant wo : real := 6.28e3; quantity xin,xout_zoh, xout_ltf,xout_ztf : real; constant numl : real_vector(1 to 2) :=(1.0,0.0); constant denl : real_vector(1 to 2) :=(1.0,1.0/wo); constant numz : real_vector(1 to 2) :=(1.0,1.0); constant denz : real_vector(1 to 2) :=(1.0+2.0/wo/Tech,1.0-2.0/wo/Tech); begin xin == sin(6.28e3*now); xout_zoh == xin'zoh(Tech,Delay); xout_ltf == xout_zoh'ltf(numl,denl); xout_ztf == xin'ztf(numz,denz,Tech,Delay); end architecture; Champs d’application (suite) Transformée de Laplace et Z.

Champs d’application(suite) sans break Synchronisation des simulateurs : avec ou sans Break . library ieee; use ieee.math_real.all; entity generator is end entity; architecture beh of generator is constant p : real := 2.0e7; signal UD : bit := '1'; quantity x : real :=0.0; begin process wait for 50ns; UD <= not UD; end process; --Initialisation du point de repos if domain = quiescent_domain USE x == 0.0; --Fonctionnement en régime transitoire else if UD = '1' use x'dot == p; else x'dot == -p; end use; break on UD; end architecture beh; Avec Break

5.Conclusion Avantages techniques : • Moderne, Puissant, Général, Bonne lisibilité, • Haute modularité, Typage fort, Généricité, Temps solidement défini, mixage abstractions, • Fonction de résolution, Ressources nombreuses • Communauté large,Activités de normalisation (norme qui bouge est une norme qui vit).

Conclusion(suite) Inconvénients : • Puissant, général = complexe • Limitations intrinsèques • Simulation pas efficace en temps pour l’instant • Pas de simulateur natif (et outils existants coûteux)

MERCI

6.Questions