INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse détaillée du.

Slides:



Advertisements
Présentations similaires
Algèbre de composants : une approche fonctionnelle à la sémantique de documents Bart Lamiroy LORIA/INPL QGar - École des Mines de Nancy.
Advertisements

Une introduction à quelques concepts clés Anthony Sealey Université de Toronto This material is distributed under an Attribution-NonCommercial-ShareAlike.
Cours Systèmes logiques
Exercices sur la conception de processeurs
Partager, Remixer, Réutiliser Légalement !
Décrire un circuit numérique avec VHDL
Cours #6 Conception d’unités de contrôle
Cours #12: Exercices de révision
Bibliothèque standard du C++
Cours #8 Flot de conception d’un circuit numérique
Cours #4 Éléments à mémoire et circuits séquentiels synchrones de base
Paysages vosgiens (sous la neige) Crédits: photos sous licence Creative Commons Conditions dutilisation disponibles ici:
COMPETENCES EVALUEES P. HABERT juin MOBILISER SES CONNAISSANCES -1- MOBILISER SES CONNAISSANCES - RESTITUER définir, rappeler - IDENTIFIER UNE.
Cours #7 Vérification d’un modèle VHDL
(notes, section 1.3) Implémentation des systèmes numériques Quatre considérations d’implémentation Taille du système Précision des calculs Aujourd’hui.
Modélisation VHDL d’un chemin des données
Logique programmable Réseaux et circuits PLA, PAL, GAL et CPLD
CORDIC Sujets de ce thème
Représentation binaire de nombres entiers et opérations arithmétiques de base Sujets de ce thème
Système de numération maya :
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Représentation et opérations.
Gei 431 Architecture des ordinateurs II – Frédéric Mailhot Synthèse logique: Quelques algorithmes et techniques La synthèse logique consiste en un très.
Circuits intégrés numériques Quelques bases
Banc d’essai pour un circuit combinatoire
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Options d’implémentation.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Synthèse d’un circuit.
Modélisation VHDL du chemin des données d’un processeur à usage général Sujets de ce thème
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois La technique du pipeline.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse de machines.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Implémentation d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Augmenter le débit d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Description d’un circuit.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Bonnes pratiques de.
Synthèse et implémentation de circuits arithmétiques sur FPGA
Processeurs et chemins des données
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Entrées et sorties par.
Le temps de propagation des signaux dans un circuit
Logique programmable Mémoires mortes: PROM, EPROM, EEPROM
David Rivreau Table de hachage David Rivreau
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Vue d’ensemble des systèmes.
Tests de boîte noire.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Vérification de circuits.
Chemin critique et fréquence maximale d’horloge
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Le problème du déphasage.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Encodage du jeu d’instructions.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Arithmétique entière.
Concepts intermédiaires de VHDL
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Performance de circuits.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Modélisation VHDL de.
Synthèse et implémentation d’un circuit combinatoire
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Simulation d’un modèle.
Rappel - analyse et synthèse de fonctions combinatoires
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Banc d’essai pour un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Objets des catégories.
Réseaux pré-diffusés programmables par l’utilisateur: FPGA
La taxonomie Bloom Un résumé
Web sémantique est pratique documentaire
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Tests exhaustifs.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Chemin des données d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Performance de circuits.
LES GRILLES D’EVALUATION Pour les épreuves de SI
Implémentation de la division sur FPGA
VHDL pour circuits séquentiels
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Flot de conception de.
Partie 2: Équations plus complexes
La gestion des stocks (Modèle de Wilson).
H. HOCQUARD Compléments sur Excel H. HOCQUARD
NIVEAUX DE COMPRÉHENSION DES TEXTES. 1. COMPRÉHENSION LITTÉRALE TRANSCRIPTIVE  Elle exige une lecture fragmentée du texte.  Les lecteurs sont capables.
COMPÉTENCES MISES EN ŒUVRE POUR L’ÉPREUVE DU BAC..
Le temps de propagation des signaux dans un circuit
Transcription de la présentation:

INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse détaillée du pipeline

INF3500 : Conception et implémentation de systèmes numériques Analyse détaillée du pipeline Soit: – C p : coût du circuit pipeliné – C: coût du circuit sans pipeline – k: nombre d’étages de pipeline – L: coût supplémentaire par étage de pipeline – On a C p = C + k × L Soit: – T p : délai du circuit avec pipeline – T: délai du circuit sans pipeline – S = t d + t su : contribution des registres au chemin critique – Si on suppose qu’on brise le chemin critique en k étages égaux, on a T p = T / k + S On veut minimiser la métrique M = C p × T p : (on veut un petit C p et un petit T p ) On prend la dérivée partielle par rapport à k: On trouve k opt pour lequel la métrique est minimale: 2

INF3500 : Conception et implémentation de systèmes numériques Analyse détaillée du pipeline Quelle est la valeur de L pour un FPGA? Quel est le k opt correspondant? C = 100, L = 25, T = 100, S = 10 Soit: – C p : coût du circuit pipeliné – C: coût du circuit sans pipeline – k: nombre d’étages de pipeline – L: coût supplémentaire par étage de pipeline – On a C p = C + k × L Soit: – T p : délai du circuit avec pipeline – T: délai du circuit sans pipeline – S = t d + t su : contribution des registres au chemin critique – Si on suppose qu’on brise le chemin critique en k étages égaux, on a T p = T / k + S 3

INF3500 : Conception et implémentation de systèmes numériques Vous devriez maintenant être capable de … Faire une analyse détaillée du pipeline dans un circuit en tenant compte des coûts et des délais. (B3) Expliquer les particularités, avantages et inconvénients du pipeline sur FPGA. (B2) 4 CodeNiveau ( B1Connaissance – mémoriser de l’information. B2Compréhension – interpréter l’information. B3Application – confronter les connaissances à des cas pratiques simples. B4Analyse – décomposer un problème, cas pratiques plus complexes. B5Synthèse – expression personnelle, cas pratiques plus complexes.