INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Tests exhaustifs.

Slides:



Advertisements
Présentations similaires
Machines séquentielles
Advertisements

Traitement du signal.
GEF 243B Programmation informatique appliquée Boucles §
GEF 243B Programmation informatique appliquée
Décrire un circuit numérique avec VHDL
Cours #9 Conception et réalisation de processeurs à usage général
Septième étape : travailler avec des graphes probabilistes
Cours #6 Conception d’unités de contrôle
Cours #12: Exercices de révision
Lumière blanche et filtres colorés
Les Couleurs Leçon 1 et 2.
Cours #8 Flot de conception d’un circuit numérique
Plan de l’exposé Introduction Revue de littérature Méthodologie
Cours #4 Éléments à mémoire et circuits séquentiels synchrones de base
Cours #7 Vérification d’un modèle VHDL
Cours #5 Conception de chemins des données
Quel couleur?.
Modélisation VHDL d’un chemin des données
Décodeurs et encodeurs : sujets de ce thème
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Représentation et opérations.
Circuits intégrés numériques Quelques bases
Banc d’essai pour un circuit combinatoire
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Synthèse d’un circuit.
Modélisation VHDL du chemin des données d’un processeur à usage général Sujets de ce thème
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois La technique du pipeline.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse de machines.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Implémentation d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Augmenter le débit d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Description d’un circuit.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Bonnes pratiques de.
Processeurs et chemins des données
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Entrées et sorties par.
Le temps de propagation des signaux dans un circuit
INF8505: processeurs embarqués configurables Département de génie informatique et génie logiciel Langages de description architecturale.
Méthodologie de conception Outils de conception
Tests de boîte noire.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Vérification de circuits.
Chemin critique et fréquence maximale d’horloge
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Le problème du déphasage.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Arithmétique entière.
Concepts intermédiaires de VHDL
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Modélisation VHDL de.
Synthèse et implémentation d’un circuit combinatoire
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Simulation d’un modèle.
Journées d'études Faible Tension Faible Consommation 14, 15, 16 mai Gwenolé CORRE, Nathalie JULIEN, Eric SENN, Eric MARTIN LESTER, Université de.
Rappel - analyse et synthèse de fonctions combinatoires
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Banc d’essai pour un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Objets des catégories.
Introduction au VHDL - R.WEBER - Polytech'Orleans
COLORIMETRIE Remerciements à G. Pratz.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Analyse détaillée du.
Réseaux pré-diffusés programmables par l’utilisateur: FPGA
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Chemin des données d’un.
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Performance de circuits.
Implémentation de la division sur FPGA
VHDL pour circuits séquentiels
Synthèses additive et soustractive
INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Flot de conception de.
Compléments VHDL - R.WEBER - Polytech'Orleans
ELE6306 : Test de systèmes électroniques Adaptation d’une interface de communication pour implants en vue du test Laurent Aubray, Dominique Pâquet-Ferron.
Conception avancée de circuits numériques (VHDL)
Le VHDL Programmation des CPLD et FPGA avec Quartus II ENSET d'Oran / IUFM Aix Marseille - mai
Chapitre 2 Synthèse additive et couleurs des objets.
Synthèse soustractive
Voici les habitants du Château. Monsieur Noir Il est propriétaire.
Description d’un circuit combinatoire en VHDL
Arithmétique entière en VHDL
Chapitre 01: Structure d'un module VHDL
Tests de boîte blanche.
Cycle 2 CHERCHER MODELISER REPRESENTER RAISONNER CALCULER COMMUNIQUER
Transcription de la présentation:

INF3500 : Conception et implémentation de systèmes numériques Pierre Langlois Tests exhaustifs

INF3500 : Conception et implémentation de systèmes numériques Tests exhaustifs Sujets de ce thème Définition Exemples pour circuits combinatoires Exemples pour circuits séquentiels 2

INF3500 : Conception et implémentation de systèmes numériques Le test exhaustif Les tests exhaustifs permettent d’observer le comportement du circuit pour toutes les conditions possibles d’entrée, et ce pour chacun de ses états. En pratique il est impossible d’effectuer un test exhaustif dans un temps raisonnable. Pour un circuit combinatoire avec M entrées, il faut 2 M vecteurs de test. Pour un circuit séquentiel avec: – N bascules, S = 2 N états, et – M entrées, R = 2 M transitions possibles à partir de chaque état, il faudrait prévoir 2 N + M vecteurs de test différents juste pour effectuer toutes les transitions possibles du système au moins une fois. 3

INF3500 : Conception et implémentation de systèmes numériques Exemple: conversion de secondes 4 Combien de vecteurs de test sont nécessaires pour un test exhaustif? library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity convSecondes is port ( secondesIn : in unsigned(7 downto 0); minutesOut : out unsigned(2 downto 0); secondesOut : out unsigned(5 downto 0) ); end convSecondes;

INF3500 : Conception et implémentation de systèmes numériques Exemple: conversion de couleurs de RGB à CMYK 5 Combien de vecteurs de test sont nécessaires pour un test exhaustif? library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity convRGB2CMYK is port ( rouge, vert, bleu : in unsigned(7 downto 0); cyan, magenta, jaune, noir : out unsigned(7 downto 0) ); end convRGB2CMYK; A. Stodghill, Tip o’day: ask for a a refill, Green Options, 2007/06/18. Consulté le 4 septembre 2009, tiré de

INF3500 : Conception et implémentation de systèmes numériques Exemple: machine à états 6 Combien de vecteurs de test sont nécessaires pour un test exhaustif? Quelles informations sont nécessaires pour déterminer le nombre de vecteurs de tests? library IEEE; use IEEE.std_logic_1164.all; entity machineAEtats is port ( reset, CLK : in STD_LOGIC; x : in STD_LOGIC_VECTOR(1 downto 0); sortie : out STD_LOGIC ); end machineAEtats; architecture arch of machineAEtats is type type_etat is (S1, S2, S3, S4); signal etat : type_etat := S1; begin …

INF3500 : Conception et implémentation de systèmes numériques Exemple: joueur de blackjack 7 Combien de vecteurs de test sont nécessaires pour un test exhaustif? Quelles informations sont nécessaires pour déterminer le nombre de vecteurs de tests? library IEEE; use IEEE.std_logic_1164.all; entity blackjack is port ( clk: in std_logic; reset: in std_logic; carteValide : in std_logic; valeurCarte: in integer range 2 to 11; tirer: out std_logic; depasse: out std_logic; total: out integer range 0 to 31 ); end blackjack; architecture arch2 of blackjack is signal somme : integer range 0 to 31; signal calculeSomme : std_logic; signal initSomme : std_logic; signal moinsDix : std_logic; type type_etat is (depart, tire, ajoute, verifie, corrige, fini); signal etat : type_etat; …

INF3500 : Conception et implémentation de systèmes numériques Exemple: microprocesseur Combien de vecteurs de test sont nécessaires pour vérifier un microprocesseur de façon exhaustive? – Énoncez vos suppositions – Donnez un ordre de grandeur de la réponse Estimez le temps nécessaire en supposant que vous pouvez appliquer un vecteur de test à chaque milliseconde. 8

INF3500 : Conception et implémentation de systèmes numériques Vous devriez maintenant être capable de … Évaluer la complexité d’un test exhaustif de circuits combinatoires et séquentiels. (B3) 9 CodeNiveau ( B1Connaissance – mémoriser de l’information. B2Compréhension – interpréter l’information. B3Application – confronter les connaissances à des cas pratiques simples. B4Analyse – décomposer un problème, cas pratiques plus complexes. B5Synthèse – expression personnelle, cas pratiques plus complexes.