La présentation est en train de télécharger. S'il vous plaît, attendez

La présentation est en train de télécharger. S'il vous plaît, attendez

MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le cadre du projet RMNT « SHAMAN »)

Présentations similaires


Présentation au sujet: "MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le cadre du projet RMNT « SHAMAN »)"— Transcription de la présentation:

1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le cadre du projet RMNT « SHAMAN ») Asghar Gholami, Zeno Toffano, Alain Destrez Service Radio, Supélec, Ecole Supérieure d’Electricité, Campus de Gif-sur-Yvette Patricia Desgreys, Mohammed Karray Département Communications et Électronique École Nationale Supérieure des Télécommunications, Paris JNOG’04 , 26 octobre 2004

2 Modules Optoélectroniques Gigabit
Module optoélectronique D-Lightsys pour communication à haut débit courte distance Destinés à des applications haut débit (jusqu’à 10 Gb/s par voie) et courte distance (jusqu’à 300 m). Il s’agit de liaisons sur nappe (12) de fibres optiques multimodes à gradient d’indice, les sources sont des barrettes de VCSELs et les détecteurs des barrettes de photodiodes PIN. Ces modules à bas coût ne sont pas stabilisés en température et sont prévus pour fonctionner dans la gamme -40°C/+85°C. Ils sont destinés aux marchés des réseaux locaux, de l’automobile et de l’avionique. Norme: 10GBASE-SR (850nm Serial LAN PHY) du standard 803.2ae Gigabit Ethernet JNOG’04 , 26 octobre 2004

3 Projet SHAMAN (Réseau Micro Nano Technologies 2002-2004)
L'association au sein d'un même module optoélectronique, de composants optiques, électriques, thermiques et mécaniques, introduit de nouvelles contraintes dans la phase de conception et nécessite une approche différente en terme de modélisation. définitions utilisateurs Composants Extraction de parameters Mesures Théorie Specifications Environnement Technologies Modèles physiques Logiciels pour télécom Tests sur les composants VHDL-AMS Coding Méthodes mathématiques Partenaires du projet: Supélec, Gif Telecom Paris CNRS PHASE, Lab. Strasbourg Supaéro, Toulouse D-Lightsys, THALES, Orsay IPSIS, Cesson Rennes Mentor Graphics, France Solveurs numériques Integration système Modélisations VHDL-AMS Tests système JNOG’04 , 26 octobre 2004

4 Objectifs de SHAMAN Identification des composants, des interactions et effets annexes à modéliser. Mesures et études physiques pour les composants complexes (VCSEL). Développement des modèles physiques des composants et validation au moyen du logiciel Comsis de IPSIS. Identification des interactions au sein du module complet. Création d’une bibliothèque de modèles optoélectroniques VHDL-AMS. Assemblage des modèles VHDL-AMS pour la simulation du module complet. Premiers pas vers le prototypage virtuel de MOEMS. JNOG’04 , 26 octobre 2004

5 Modèles pour les modules optoélectroniques
Etablissement pour chaque composant du niveau de modélisation en fonction du besoin. Mesures et études physiques préliminaires pour les composants complexes. Identification des interactions au sein du module complet courant d’injection I Paramètres système V Assemblage l Puissance optique P l,Dl q Temperature T détecté Ir T VCSEL coupl. Fiber paramètres technologiques et géometriques r detect. Électronique détect. detect electronics f c Approche physique : élaboration de modèles prédictifs, basés sur la physique des composants et intégrant tous les paramètres internes des composants. VCSEL, Fibre optique multimode (MMF), Diode PIN, Circuits électriques. Approche système : élaboration de modèles descriptifs, définis par un jeu de paramètres standards. Validation des modèles par comparaison avec des mesures effectuées sur le démonstrateur. JNOG’04 , 26 octobre 2004

6 Modèle du VCSEL monomode
Les modèles VCSEL sont basés sur les équations de continuité fonctions du nombre de photons et porteurs S et N. Les paramètres de ces équations sont des paramètres physiques internes en général non disponibles. Pour des simulations plus réalistes on les dérive par des paramètres système. Le modèle monomode est suffisant pour simuler la réponse statique et dynamique globale mais ne relate pas le profil d’intensité réel . Ce modèle est maintenant implémenté dans la bibliothèque de composants du logiciel COMSIS Software de chez IPSIS. Courbe P(I) Réponse dynamique JNOG’04 , 26 octobre 2004

7 Modèle multimode du VCSEL
Pour les VCSELs plusieurs modes spatiaux coexistent en fonction des conditions d’injection Pour un VCSEL à confinement circulaire par oxyde la structure des modes transverses est celle des fibres optique LPlm. Dans le modèle VCSEL multimode nous avons adapté les équations de continuité en incluant les champs inhomogènes et la distribution spatiale des porteurs. Chaque mode k est représenté par le nombre de photons Sk et par le profil d’intensité fk(r). Pour les M modes le modèle utilise 2M + 1 équations. JNOG’04 , 26 octobre 2004

8 Modèle multimode VCSEL: comportement statique
TOTAL LP21 LP01 LP11 Puissance optique en fonction du courant pour les modes LP et total Distribution du champ proche en fonction du courant d’injection La présence des modes transverses n’affecte pas la linéarité de la caractéristique globale L(I) d’un VCSEL, ce fait est confirmé par le modèle en sommant les puissances de chaque mode. Dans la majorité des cas, le profil du faisceau sera annulaire, profil intéressant pour le couplage avec une fibre optique multimode permettant d’augmenter la bande passante de celle-ci. JNOG’04 , 26 octobre 2004

9 Modèle multimode du VCSEL: comportement dynamique
TOTAL LP01 LP11 LP21 total LP01 LP21 LP11 Puissance optique Courant d’injection Bruit d’intensité optique RIN (Relative Intensity Noise) des modes du VCSEL Réponse indicielle La somme des réponses de chaque mode correspond avec une bonne approximation aux résultats obtenus pour le modèle monomode. La présence des modes transverses LP modifie la structure du RIN, principalement aux basses fréquences. JNOG’04 , 26 octobre 2004

10 Modèle thermique du VCSEL
Mesures sur le module D-Lightsys Simulation Nombre de porteurs N(T) par la variation de la tension de jonction Vj(T) et le courant de seuil Ith(T) . Gain différentiel GN(T) par les variations de la longueur d’onde l(T) JNOG’04 , 26 octobre 2004

11 Interactions thermiques dans la barrette de VCSEL
Circuit équivalent d’interaction thermique de la barrette de VCSEL Puissance optique et dissipée pour une barrette de 5 VCSEL Barrettes de VCSEL 850 nm, pour communication à courte distance. Il existe une interaction thermique entre les VCSEL d’une même barrette (changements de la puissance optique et de la longueur d’onde dus aux interactions thermiques). Une modélisation thermique de toute la barrette est nécessaire JNOG’04 , 26 octobre 2004

12 Modèle thermique global avec circuit
Cth Rwb Rgt Id * Vds Tc T PCB Ti Rreport Référence thermique Ts Réseau électrique équivalent à la thermique du driver avec Modèle thermique du transistor Prise en compte des technologies de report Schéma électrique thermique équivalent qui tient compte des technologies de report et d’assemblage et de l’environnement complet d’un VCSEL. Modélisation thermique de la technologie « Flip-Chip ». Modélisation thermique de la technologie « Wire-Bonding ». Modélisation thermique de la colle ou de la brasure. JNOG’04 , 26 octobre 2004

13 Effet du couplage des VCSEL aux fibres optiques multimodes sur la bande passante
Un modèle spatio-temporel complet permet de calculer la bande passante résultante de la fibre optique sous différentes conditions d’injection. Le signal optique dynamique en sortie de la fibre a été reconstruit en utilisant la distribution spatiale de puissance et les vitesses moyennes des modes de propagation. La bande passante est obtenue par FFT Conditions de couplage du VCSEL vers une fibre optique multimode à gradient d’indice 0 mm 45 mm Injection dans la fibre optique par la méthode du lancer de rayons Influence du décalage latéral de la fibre optique sur la bande passante JNOG’04 , 26 octobre 2004

14 Réponse dynamique en sortie de fibre par injection VCSEL
. Réponse indicielle en sortie de fibre optique Diagramme de l’œil en sortie de fibre optique La fibre optique multimode introduit un délai supplémentaire qui dépend de la dispersion intermodale elle-même dépendante des conditions d’injection. Le profil d’indice de la fibre optique est critique pour les performances souhaitées (10 Gb/sec). Sur le diagramme de l’œil on observe aussi un effet de la fibre multimode sur la gigue de transmission surtout à haut débit. JNOG’04 , 26 octobre 2004

15 Diagramme de l’oeil du module complet avec récepteur et sources de bruit
Simulations à l’aide du modèle multimode avec inclusion des bruits RIN, quantique et thermique 2.5 Gb/s Mesures avec DCA de la Plate-forme Optoélectronique Supélec Astre Sésame 30 m de FO 250 m de FO L’entrée est constitué d’un signal bruité spécifié par les normes. A la sortie on obtient le « diagramme de l’œil » dimensionné suivant un gabarit standard. Les paramètres, tel la température, le taux de modulation, la puissance, les contraintes mécaniques et géométriques seront utilisés comme des paramètres génériques. JNOG’04 , 26 octobre 2004

16 Estimation des performances en communication TEB du module
Tempér. (°C) Taux d’excinction (dB) TEB 10^-x A partir du diagramme de l’œil on extrait le rapport signal sur bruit ou de manière équivalente le facteur Q et la gigue qui permettent de remonter au Taux d’Erreurs Binaires (TEB). Le TEB est présenté en fonction du taux d’extinction, rapport entre les niveaux binaires “1” sur le niveau “0” et la température T. Le TEB est fortement dépendant de la valeur du RIN. La gigue regroupe plusieurs types de perturbations pouvant pénaliser une transmission, et ayant donc des conséquences sur le TEB final. JNOG’04 , 26 octobre 2004

17 Intérêt du langage VHDL-AMS
Objectifs du langage Modélisation de haut niveau Temps discret et continu, équation différentielles ordinaires (EDO) Compatible SPICE, modèles externes Multi domaine/abstraction Langage commun à la communauté Intérêt opérationnel Norme IEEE en cours de diffusion industrielle Développements indépendants de l’outil, interfaçage naturel avec la CAO industrielle. Modèle «utilisateurs» (anti SPICE/ELDO) Support du travail en équipe Prototypage JNOG’04 , 26 octobre 2004

18 Simulation globale VHDL-AMS du module SHAMAN
Interface optoélectronique complète codé e VHDL-AMS dans « Simplorer » Le modèle global peut être considéré comme un simple composant ayant ses propres entrées/sorties . Ceci permet de l’insérer dans un montage plus complexe. L'utilisateur final n'a plus qu'à spécifier les paramètres correspondant aux données des constructeurs dans les champs. Le fonctionnement du dispositif est testé par l'obtention d'un diagramme de l'œil. Cet exemple illustre bien le niveau d'abstraction auquel on peut arriver. JNOG’04 , 26 octobre 2004

19 Conclusion et Remerciements
Le projet RMNT « SHAMAN » a nécessité une synergie pluridisciplinaire entre les partenaires afin de développer une bibliothèque de modèles qui permettront de simuler des MOEMS en environnement multi-contraintes. Une partie du travail expérimental a été effectué à Supélec dans le cadre de la Plate-forme PRISME d’Optics Valley avec le soutien des programmes ASTRE (Conseil Général de l’Essonne) et SESAME (Région Ile de la France). Les auteurs remercient les autres partenaires du projet RMNT SHAMAN: IPSIS Cesson (Christine LeBrun et Morgane Marec) D-Lightsys Orsay (Mathias Pez), ENSAE Sup’aéro Toulouse (Angélique Rissons et Jean-Claude Mollier), PHASE CNRS Strasbourg (Yannick Hervé et Sébastien Snaidero), Mentor Graphics France (Jacques Oudinot) ainsi que M. Jean-Jacques Charlot de l’ENST. JNOG’04 , 26 octobre 2004


Télécharger ppt "MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le cadre du projet RMNT « SHAMAN »)"

Présentations similaires


Annonces Google