La présentation est en train de télécharger. S'il vous plaît, attendez

La présentation est en train de télécharger. S'il vous plaît, attendez

Paris 2005 Journées Nationales du Réseau Doctoral de Microélectronique Intégration et caractérisation de barrières auto-positionnées pour la passivation.

Présentations similaires


Présentation au sujet: "Paris 2005 Journées Nationales du Réseau Doctoral de Microélectronique Intégration et caractérisation de barrières auto-positionnées pour la passivation."— Transcription de la présentation:

1 Paris 2005 Journées Nationales du Réseau Doctoral de Microélectronique Intégration et caractérisation de barrières auto-positionnées pour la passivation des interconnexions cuivre sub-65 nm Sonarith Chhun Philips Semiconductors Crolles R&D, Crolles France LPM INSA, Lyon, France

2 2/22 JNRDM Paris 2005 Sommaire  Introduction aux barrières auto-positionnées –Principes et objectifs  Mécanisme de formation de la barrière CuSiN  Évaluation de la barrière CuSiN –Efficacité de la barrière CuSiN contre la diffusion du cuivre  Intégration de la barrière auto-positionnée CuSiN –Impact électrique –Fiabilité  Conclusion

3 3/22 JNRDM Paris 2005 Interconnexions cuivre dans les technologies 90 nm SourceDrain Grille Métal STI Substrat silicium Isolant Contact Vue schématique en coupe d’une puceCoupe MEB colorée après dépassivation Ligne Via Ligne Interconnexions Zone active

4 4/22 JNRDM Paris 2005 Architecture double Damascène Cuivre Connexion métallique Dépôt d’une barrière diélectrique, de l’isolant & des masques durs Photo- lithographies et gravures via et ligne Métallisation par une barrière métallique et cuivre Polissage du cuivre et des masques durs et dépôt d’une barrière diélectrique Cuivre Barrière diélectrique Low k Masque dur 2 Masque dur 1 Barrière métallique

5 5/22 JNRDM Paris 2005  Rôle –Empêche la diffusion du Cu  Inconvénients –Dégrade la résistance d’une ligne contre l’électromigration –Dégrade les performances électriques des interconnexions Barrières à la diffusion du cuivre  Barrières contre la diffusion du cuivre :TaN/Ta SiCN (  R =5 ) Pour une technologie 90 nm SiOC (  R =3)  Isolant électrique: SiOC (  R =3) : Cuivre  Métal conducteur: Cuivre Schéma d’une ligne et d’un via en coupe Barrière Métallique (TaN/Ta) Barrière diélectrique SiCN Ligne Cuivre Via Isolant Barrière diélectrique ⇨ Le cuivre est complètement encapsulé

6 6/22 JNRDM Paris 2005  Performances des interconnexions : produit R.C –R ligne augmente à chaque génération –C couplage doit diminuer pour compenser  Capacité totale C = f (C1,C2) –C1 : fixée par la permittivité de l’isolant –C2 : contribution majeure de la barrière diélectrique  barrière >  isolant  Remplacer la barrière actuelle par une barrière auto-positionnée pour d iminuer la permittivité effective de l’empilement Impact de la barrière diélectrique Ligne 1 Ligne 2 C1 C2 Isolant Ligne 2 C1 C2 Ligne 1 Isolant Pour une technologie 90 nm Permittivité de la barrière diélectrique SiCN  = 5 Permittivité de l’isolant SiOC  = 3

7 7/22 JNRDM Paris 2005 Barrière auto-positionnée de type CuSiN  Formation du composé CuSiN : 1)Nettoyage des lignes de cuivre (retrait de l’oxyde natif) 2)Décomposition d’un composé à base de Si  incorporation de Si 3)NH 3 : incorporation d’azote  Formation de la barrière CuSiN Composé à base de SiPlasma NH 3 Cu Low k CuSiN

8 8/22 JNRDM Paris 2005 Formation de la barrière CuSiN

9 9/22 JNRDM Paris 2005 Décomposition de composés à base de Si  Composé à base de Si: Silane SiH 4 et TMS (CH 3 ) 3 SiH –SIMS effectué sur pleine plaque encapsulée par du SiO 2 –Siliciuration: Flux, pression et température identique  Décomposition totale du SiH 4 (T. Takewaki et al., VLSI 1995)  Décomposition partielle du TMS : moins de Si disponible à la surface du Cu SiO 2 Cu Si (Silane) Si (TMS) Erosion time (a.u) Intensity (a.u) Cu SiO 2 SiH 4 or TMS

10 10/22 JNRDM Paris 2005 Impact du recuit cuivre sur la taille de grain  2 températures de recuit cuivre: 250 et 400°C  Concentration de macles plus importante pour un recuit à 250°C  Plus petits grains pour un cuivre recuit à 250°C Cu recuit à 250°CCu recuit à 400°C 1 µm Tilted FIB SEM observation

11 11/22 JNRDM Paris 2005 Analyse en profondeur : CuSiN / SiH 4  Auger Electrons Spectrometry  Présence d’une couche de SiN au dessus du Cu  Incorporation de Si plus profonde pour le cuivre recuit à 250°C Depth (A) Cu CuSiN Cu Si N 400°C 250°C 400°C 250°C 400°C 250°C

12 12/22 JNRDM Paris 2005  Auger Electrons Spectrometry  Présence d’une couche de CuSiN de 2.5 nm  Contrairement à CuSiN/SiH 4, la profondeur d’incorporation des éléments est indépendante de la microstructure du cuivre  L’incorporation en profondeur du Si dépend aussi de la microstructure du cuivre Analyse en profondeur : CuSiN / TMS Intensity (a.u.) 1 10 1 10 2 10 3 Depth (A) Cu CuSiN Cu Si N250°C 400°C 400°C 250°C400°C 250°C

13 13/22 JNRDM Paris 2005 Observation TEM de la barrière CuSiN  Coupe TEM d’une ligne de Cu ligne traitée par CuSiN/SiH 4  CuSiN : 3.4 nm  Couche de SiN de 4.7 nm formée par le procédé CuSiN SiN: 4.7 nm CuSiN 3.4 nm SiOC Cu CuSiN formée par le procédé CuSiN / SiH 4 Couche de SiN formée par le procédé CuSiN

14 14/22 JNRDM Paris 2005  Bilan –L’incorporation de Si est contrôlée par le type composé à base de Si et par la microstructure du cuivre –Un bicouche CuSiN / SiN est obtenu dans le cas du silane  Mécanisme de formation de CuSiN 1.Incorporation de Si à la surface des grains de cuivre et aux joints de grain 2.Saturation de la surface des grains et incorporation profonde de Si par les joints de grains 3.Le plasma NH 3 réagit avec le CuSi pour former du CuSiN et les atomes de Si en excès réagissent avec le plasma pour former une couche de SiN  L’épaisseur de CuSiN dépend du type de composé à base de Si et de la microstructure du cuivre Mécanisme de formation Si Cu Joint de grains SiN CuSiN

15 15/22 JNRDM Paris 2005 Performance barrière de CuSiN

16 16/22 JNRDM Paris 2005 Efficacité de la barrière contre la diffusion du cuivre  Test de la barrière effectué sur pleine plaque –CuSiN / TMS (3 nm) Vs SiCN (40 nm) encapsulées par du SiO 2 et recuit pendant 2h à 400°C  Une couche de 3 nm de CuSiN est suffisante pour empêcher la diffusion du cuivre SiO 2 Interface barrière / SiO 2 Cu (CuSiN) Cu (SiCN) Si (CuSiN) Si (SiCN) Cu SiCN Cu CuSiN TaN/Ta Cu Si SiO 2 Cu Si SiO 2 Cu + recuit pendant 2 h à 400°C

17 17/22 JNRDM Paris 2005 Intégration du CuSiN

18 18/22 JNRDM Paris 2005 Impact électrique : Résistance de ligne  Résistance de ligne: –Procédés CuSiN avec les 2 types de composé SiH 4 Vs TMS  Légère dégradation des résistances mais inférieure à 2.5 %  TMS : aucune dégradation SiCN CuSiN/TMS CuSiN/SiH 4 2.5% SiH 4 TMS Line resistance (a.u.) Cumul (%) 700710720 500 nm SiCN 500 nm CuSiN

19 19/22 JNRDM Paris 2005 Impact électrique : Capacité de couplage  Capacité de couplage –Tests effectué dans une technologie 90 nm –Gain espéré en retirant le liner SiCN (simulation): - 5 %  Un gain de 7 % sur les capacités de couplages en remplaçant le SiCN par du CuSiN pour une techno. 90 nm SiCN CuSiN Spacing = 0.2 µm Spacing = 0.14 µm - 7% Barrière diélectrique Cu Barrière auto-positionnée - 7 %

20 20/22 JNRDM Paris 2005 Fiabilité: Electromigration  Tests d’électromigration –Comparaison entre CuSiN and SiC  Temps de vie des interconnexions X10 en utilisant une barrière CuSiN à la place d’une barrière standard At 300°C for 1.2 µm wide lines At 250°C for 0.26 µm wide lines SiC CuSiN X10

21 21/22 JNRDM Paris 2005  Time-Dependent Dielectric Breakdown testé à 150°C –CuSiN (SiH 4 Vs TMS) comparé à SiCN –Critère : 10 ans de durée de vie à 0.2 MV/cm à 100°C  Temps de vie des interconnexions +3 dec en utilisant une barrière CuSiN par rapport à une barrière SiCN Fiabilité: TDDB 10 years @ 100°C 0,2 MV/cm CuSiN/TMS CuSiN/SiH 4 Test @ 150°C SiCN (2 reference wafers) + 3 dec 500 nm SiCN 500 nm CuSiN

22 22/22 JNRDM Paris 2005 Conclusion  Mécanisme de formation du CuSiN mis en évidence –Épaisseur de CuSiN dépendante du composé à base de Si utilisé et de la microstructure du cuivre  Performances du CuSiN –Le CuSiN est une bonne barrière à la diffusion du Cu –Temps de vie des interconnexions X10 sous tests d’EM –Temps de vie des interconnexions + 3 dec sous test TDDB –Diminution de 7 % des capacités de couplage pour une techno 90 nm À chaque génération technologique, ce gain doit augmenter  Les barrières auto-positionnées sont une solution idéale pour les technologies avancées d’interconnexions


Télécharger ppt "Paris 2005 Journées Nationales du Réseau Doctoral de Microélectronique Intégration et caractérisation de barrières auto-positionnées pour la passivation."

Présentations similaires


Annonces Google